Next | Query returned 12 messages, browsing 1 to 10 | Previous

History of commit frequency

CVS Commit History:


   2022-07-25 13:12:30 by Thomas Klausner | Files touched by this commit (102)
Log message:
*: remove pkg-config from tools where no buildlink3.mk file is included

Bulk build on NetBSD of these packages had the same result as before
(build succeeds, no PLIST change).
   2022-06-28 13:38:00 by Thomas Klausner | Files touched by this commit (3952)
Log message:
*: recursive bump for perl 5.36
   2021-10-26 12:04:17 by Nia Alarie | Files touched by this commit (63)
Log message:
cad: Replace RMD160 checksums with BLAKE2s checksums

All checksums have been double-checked against existing RMD160 and
SHA512 hashes
   2021-10-07 15:20:33 by Nia Alarie | Files touched by this commit (63)
Log message:
cad: Remove SHA1 hashes for distfiles
   2021-05-24 21:56:06 by Thomas Klausner | Files touched by this commit (3575)
Log message:
*: recursive bump for perl 5.34
   2020-10-03 14:21:42 by Ryo ONODERA | Files touched by this commit (3)
Log message:
verilator: Update to 4.100

Changelog:
* Verilator 4.100 2020-09-07

**    C++11 or newer compilers are now required.

**    SystemC 2.3.0 or newer (SYSTEMC_VERSION >= 20111121) is now required.

**    Support hierarchical Verilation (#2206). [Yutetsu TAKATSUKASA]

****  Support (with limitations) class extern, class extends, virtual class.

****  Support $urandom, $urandom_range without stability.

****  Fix false DECLFILENAME on black-boxed modules (#2430). [Philipp Wagner]

****  Fix naming of "id : begin" blocks.

****  Fix class constructor error on assignments to const.

****  Fix splitting eval functions with --output-split-cfuncs (#2368). [Geza Lore]

****  Fix queues as class members (#2525). [nanduraj1]

****  Add support for assume property. [Peter Monsson]

* Verilator 4.040 2020-08-15

**    Version 4.040 is planned to be the final version that will
      support pre-C++11 compilers. Please move to C++11 or newer compilers.

***   Fix arrayed interfaces, broke in 4.038 (#2468). [Josh Redford]

****  Support $stable, $rose and $fell.  (#2148) (#2501) [Peter Monsson]

****  Support simple function localparams (#2461). [James Hanlon]

****  Miscellaneous parsing error changes towards UVM support.

****  Fix arrayed interfaces (#2469).  [Josh Redford]

****  Fix protect lib VCS warning. (#2479) [Julien Margetts]

****  Fix combining different-width parameters (#2484). [abirkmanis]

****  Fix protect-lib without sequential logic (#2492). [Yutetsu TAKATSUKASA]

****  Fix V3Unknown from running with flat XML output (#2494). [James Hanlon]

****  Fix non-32 bit conversion to float (#2495). [dsvf]

****  Fix casting non-self-determined subexpressions (#2493). [phantom-killua]

****  Fix SystemC net names (#2500). [Edgar E. Iglesias]

****  Fix build with Bison 3.7 and newer (#2505). [Rupert Swarbrick]

****  Fix slice of unpacked array (#2506) (#2507). [Yutetsu TAKATSUKASA]

* Verilator 4.038 2020-07-11

**    Versions 4.038 and 4.040 are planned to be the final versions that will
      support pre-C++11 compilers. Please move to C++11 or newer compilers.

***   Support VPI access to parameters and localparam. [Ludwig Rogiers]

***   Support parsing (not elaboration, yet) of UVM.

****  Add new UNSUPPORTED error code to replace most previous Unsupported: messages.

****  With --bbox-unsup continue parsing on many (not all) UVM constructs.

****  Support for-loop increments with commas.

****  Support $swrite with arbitrary arguments.

****  Support $writememb (#2450). [Fan Shupei]

****  Fix OS X, Free BSD, and -m32 portability issues. [Geza Lore]

****  Fix to flush FST trace on termination due to $stop or assertion failure.

****  Fix part select error when multipling by power-of-two (#2413). [Conor \ 
McCullough]

****  Fix division exception (#2460) [Kuoping Hsu]

* Verilator 4.036 2020-06-06

**    OPT_FAST is now -Os by default. See the BENCHMARKING & OPTIMIZATION part
      of the manual if you experience issues with compilation speed.

***   Configure now enables SystemC if it is installed as a system headers,
      e.g. with 'apt-get install systemc-dev'.

***   Add --waiver-output flag that writes a verilator config file (.vlt) with
      waivers to the warnings emitted during a Verilator run.

***   Support verilator_coverage --write-info for lcov HTML reports.
      Line Coverage now tracks all statement lines, not just branch lines.

***   --output-split is now on by default. VM_PARALLEL_BUILDS is set by
      default iff the --output-split caused an actual file split to occur.
      --output-split-cfuncs and --output-split-ctrace now default to the
      value of --output-split. These changes should improve build times of
      medium and large designs with default options. User makefiles may
      require changes.

***   The run-time library is now compiled with -Os by default. (#2369, #2373)

****  Support multi channel descriptor I/O (#2190) [Stephen Henry]

****  Support $countbits. (#2287) [Yossi Nivin]

****  Support $isunbounded and parameter $. (#2104)

****  Support unpacked array .sum and .product.

****  Support prefix/postfix increment/decrement. (#2223) [Maciej Sobkowski]

****  Fix FST tracing of little bit endian signals. [Geza Lore]

****  Fix +: and -: on unpacked arrays. (#2304) [engr248]

****  Fix $isunknown with constant Z's.

****  Fix queues and dynamic array wide ops. (#2352) [Vassilis Papaefstathiou]

* Verilator 4.034 2020-05-03

**    Add simplistic class support with many restrictions, see manual. (#377)

**    Support IEEE time units and time precisions. (#234)
      Includes `timescale, $printtimescale, $timeformat.
      VL_TIME_MULTIPLIER, VL_TIME_PRECISION, VL_TIME_UNIT have been removed
      and the time precision must now match the SystemC time precision. To
      get closer behavior to older versions, use e.g. --timescale-override
      "1ps/1ps".

**    Add --build to call make automatically. (#2249) [Yutetsu TAKATSUKASA]

**    Configuring with ccache present now defaults to using it; see OBJCACHE.

**    Fix DPI import/export to be standard compliant. (#2236) [Geza Lore]

**    Add --trace-threads for general multithreaded tracing. (#2269) [Geza Lore]

***   Add --flatten for use with --xml-only. (#2270) [James Hanlon]

****  Greatly improve FST/VCD dump performance (#2244) (#2246) (#2250) (#2257) \ 
[Geza Lore]

****  Support $ferror, and $fflush without arguments. (#1638)

****  Support event data type (with some restrictions).

****  Support $root. (#2150) [Keyi Zhang]

****  Add error if use SystemC 2.2 and earlier (pre-2011) as is deprecated.

****  Fix build of fast path tracing code to use OPT_FAST. (#2245) [Geza Lore]

****  Fix arrayed instances connecting to slices. (#2263) [Don/engr248]

****  Fix error on unpacked connecting to packed. (#2288) [Joseph Shaker]

****  Fix logical not optimization with empty begin. (#2291) [Baltazar Ortiz]

****  Fix reduction OR on wide data, broke in v4.026. (#2300) [Jack Koenig]

****  Fix clock enables with bit-extends. (#2299) [Marco Widmer]

****  Fix MacOs Homebrew by removing default LIBS. (#2298) [Ryan Clarke]

* Verilator 4.032 2020-04-04

***   Add column numbers to errors and warnings.

***   Add GCC 9-style line number prefix when showing source text for errors.

***   Add setting VM_PARALLEL_BUILDS=1 when using --output-split. (#2185)

***   Change --quiet-exit to also suppress 'Exiting due to N errors'.

****  Suppress REALCVT for whole real numbers.

****  Support split_var in vlt files. (#2219) [Marco Widmer]

****  Fix parameter type redeclaring a type. (#2195) [hdzhangdoc]

****  Fix VCD open with empty filename. (#2198) [Julius Baxter]

****  Fix packages as enum base types. (#2202) [Driss Hafdi]

****  Fix duplicate typedefs in generate for. (#2205) [hdzhangdoc]

****  Fix MinW portability. (#2114) [Sean Cross]

****  Fix assertions with unique case inside. (#2199) [hdzhangdoc]

****  Fix implicit conversion of floats to wide integers.
   2020-08-31 20:13:29 by Thomas Klausner | Files touched by this commit (3631)
Log message:
*: bump PKGREVISION for perl-5.32.
   2020-03-18 14:33:38 by Ryo ONODERA | Files touched by this commit (3)
Log message:
verilator: Update to 4.030

Changelog:
Verilator 4.030 2020-03-08

    Add split_var metacomment to assist UNOPTFLAT fixes, #2066. [Yutetsu TAKATSUKASA]

    Add support for $dumpfile and $dumpvars, #2126. [Alexander Grobman]

    Add support for dynamic arrays, #379.

    Add +verilator+noassert flag to disable assertion checking. [Tobias \ 
W\303\266lfel]

    Add check for assertOn for asserts, #2162. [Tobias W\303\266lfel]

    Add --structs-packed for forward compatibility.

    Fix genblk naming with directly nested generate blocks, #2176. [Alexander \ 
Grobman]

    Implement $displayb/o/h, $writeb/o/h, etc, #1637.

    Use gcc -Os in examples instead of -O2 for better average performance.

    Fix undeclared VL_SHIFTR_WWQ, #2114. [Alex Solomatnikov]

Verilator 4.028 2020-02-08

    Support attributes (public, isolate_assignments, etc.) in configuration files.

    Add -match to lint_off to waive warnings. [Philipp Wagner]

    Link Verilator binary partially statically, #2146. [Geza Lore]

    Verilation speed improvements, #2133, #2138. [Geza Lore]

    Support libgoogle-perftools-dev's libtcmalloc if available, #2137. [Geza Lore]

    Support $readmem/$writemem with assoc arrarys, #2100. [agrobman]

    Support type(expression) operator and $typename, #1650.

    Support left justified $display, #2101. [Pieter Kapsenberg]

    Support string character access via indexing.

    Support enum.next(k) with constant k > 1, #2125. [Tobias Rosenkranz]

    Support parameter access from arrays of interfaces, #2155. [Todd Strader]

    Add parameter values in XML. #2110. [Pieter Kapsenberg]

    Add loc column location in XML (replaces fl), #2122. [Pieter Kapsenberg]

    Add error on misused define. [Topa Tota]

    Add parameter to set maximum signal width, #2082. [\303\230yvind Harboe]

    Add warning on genvar in normal for loop, #2143. [yurivict]

    Fix VPI scope naming for public modules. [Nandu Raj]

    Fix FST tracing of enums inside structs. [fsiegle]

    Fix WIDTH warning on

    Fix OpenSolaris issues, #2154. [brancoliticus]

Verilator 4.026 2020-01-11

    Docker images are now available for Verilator releases.

    Support bounded queues.

    Support implication operator "|->" in assertions, #2069. [Peter \ 
Monsson]

    Support string compare, ato*, etc methods, #1606. [Yutetsu TAKATSUKASA]

    Support immediate cover statements.

    Ignore `uselib to end-of-line, #1634. [Frederic Antonin]

    Update FST trace API for better performance.

    Add vpiTimeUnit and allow to specify time as string, #1636. [Stefan Wallentowitz]

    Add error when `resetall inside module (IEEE 2017-22.3).

    Add cleaner error on version control conflicts in sources.

    Fix little endian cell ranges, #1631. [Julien Margetts]

    Fix queue issues, #1641, #1643. [Peter Monsson, Stefan Wallentowitz]

    Fix strcasecmp for windows, #1651. [Kuba Ober]

    Fix disable iff in assertions. Closes #1404. [Peter Monsson]

    Fix huge case statement performance. Closes #1644. [Julien Margetts]

    Fix tracing -1 index arrays. Closes #2090. [Yutetsu Takatsukasa]

    Fix expand optimization slowing --lint-only. Closes #2091. [Thomas Watts]

    Fix %{number}s with strings. #2093. [agrobman]

    Fix shebang breaking some shells. Closes #2067. [zdave]

Verilator 4.024 2019-12-08

    Support associative arrays (excluding [*] and pattern assignments), bug544.

    Support queues (excluding {} notation and pattern assignments), bug545.

    Add +verilator+error+limit to see more assertion errors. [Peter Monsson]

    Support string.toupper and string.tolower.

    Support $rewind and $ungetc.

    Support shortreal as real, with a SHORTREAL warning.

    Add -Wpedantic and -Wno-context for compliance testing.

    Add error on redefining preprocessor directives. [Piotr Binkowski]

    Support $value$plusargs float and shorts, bug1592, bug1619. [Garrett Smith]

    Fix gate lvalue optimization error, bug831. [Jonathon Donaldson, Driss Hafdi]

    Fix color assertion on empty if, bug1604. [Andrew Holme]

    Fix for loop missing initializer, bug1605. [Andrew Holme]

    Fix hang on concat error, bug1608. [Bogdan Vukobratovic]

    Fix VPI timed callbacks to be one-shot, pull5. [Matthew Ballance]

    Fix // in filenames, bug1610. [Peter Nelson]

    Fix $display("%p") to be closer to IEEE.

    Fix labels on functions with returns, bug1614. [Mitch Hayenga]

    Fix false unused message on __Vemumtab, msg3180. [Tobias Rosenkranz]

    Fix assertion on dotted parameter arrayed function, bug1620. [Rich Porter]

    Fix interface reference tracing, bug1595. [Todd Strader]

    Fix error on unpacked concatenations, bug1627. [Driss Hafdi]

Verilator 4.022 2019-11-10

    Add --protect-lib, bug1490. [Todd Strader]

    Add cmake support, bug1363. [Patrick Stewart]

    Examples have been renamed.

    Add --protect-ids to obscure information in objects, bug1521. [Todd Strader]

    Add --trace-coverage.

    Add --xml-output.

    Support multithreading on Windows. [Patrick Stewart]

    Suppress 'command failed' on normal errors.

    Support some unpacked arrays in parameters, bug1315. [Marshal Qiao]

    Add interface port visibility in traces, bug1594. [Todd Strader]

    Increase case duplicate/incomplete to 16 bit tables, bug1545. [Yossi Nivin]

    Support quoted arguments in -f files, bug1535. [Yves Mathieu]

    Optimize modulus by power-of-two constants, and masked conditionals.

    Fix detecting missing reg types, bug1570. [Jacko Dirks]

    Fix multithreaded yield behavior when no work. [Patrick Stewart]

    Fix bad-syntax crashes, bug1548, bug1550-1553, bug1557-1560, bug1563, \ 
bug1573-1577, bug1579, bug1582-1591. [Eric Rippey]

    Fix false CMPCONST/UNSIGNED warnings on "inside", bug1581. [Mitch \ 
Hayenga]

Verilator 4.020 2019-10-06

    Support $fseek, $ftell, $frewind, bug1496. [Howard Su]

    Add --public-flat-rw, bug1511. [Stefan Wallentowitz]

    Support vpiModule, bug1469. [Stefan Wallentowitz]

    Make Syms file honor --output-split-cfuncs, bug1499. [Todd Strader]

    Fix make test with no VERILATOR_ROOT, bug1494. [Ahmed El-Mahmoudy]

    Fix error on multidimensional cells, bug1505. [Anderson Ignacio Da Silva]

    Fix config_rev revision detection on old versions.

    Fix false warning on backward indexing, bug1507. [Hao Shi]

    Fix vpiType accessor, bug1509, bug1510. [Stefan Wallentowitz]

    Fix ugly error on interface misuse, bug1525. [Bogdan Vukobratovic]

    Fix misc bad-syntax crashes, bug1529-bug1533. [Eric Rippey]

    Fix case statements with strings, bug1536. [Philipp Wagner]

Verilator 4.018 2019-08-29

    When showing an error, show source code and offer suggestions of replacements.

    When showing an error, show the instance location, bug1305. [Todd Strader]

    Add --rr, bug1481. [Todd Strader]

    Change MULTITOP to warning to help linting, see manual.

    Add XSim support to driver.pl, bug1493. [Todd Strader]

    Show included-from filenames in warnings, bug1439. [Todd Strader]

    Fix elaboration time errors, bug1429. [Udi Finkelstein]

    Fix not reporting some duplicate signals/ports, bug1462. [Peter Gerst]

    Fix not in array context on non-power-of-two slices, msg2946. [Yu Sheng Lin]

    Fix system compile flags injection. [Gianfranco Costamagna]

    Fix enum values not being sized based on parent, bug1442. [Dan Petrisko]

    Fix internal error on gate optimization of assign, bug1475. [Oyvind Harboe]

    Add --dpi-hdr-only, bug1491. [Todd Strader]
   2019-08-23 15:05:49 by Ryo ONODERA | Files touched by this commit (2)
Log message:
Update to 4.016

Changelog:
* Verilator 4.016 2016-06-16

***   Add --quiet-exit, bug1436. [Todd Strader]

****  Error continuation lines no longer have %Error prefix.

****  Support logical equivalence operator <->.

****  Support VerilatedFstC set_time_unit, bug1433. [Pieter Kapsenberg]

****  Support deferred assertions, bug1449. [Charles Eddleston]

****  Mark infrequently called functions with GCC cold attribute.

****  Fix sign-compare warning in verilated.cpp, bug1437. [Sergey Kvachonok]

****  Fix fault on $realtime with %t, bug1443. [Julien Margetts]

****  Fix $display with string without %s, bug1441. [Denis Rystsov]

****  Fix parameter function string returns, bug1441. [Denis Rystsov]

****  Fix invalid XML output due to special chars, bug1444. [Kanad Kanhere]

****  Fix performance when mulithreaded on 1 CPU, bug1455. [Stefan Wallentowitz]

****  Fix type and real parameter issues, bug1427, bug1456, bug1458. [Todd Strader]

****  Fix build error on MinGW, bug1460. [Richard Myers]

****  Fix not reporting some duplicate signals, bug1462. [Peter Gerst]

****  Fix --savable invalid C++ on packed arrays, bug1465. [Alex Chadwick]

****  Fix constant function return of function var, bug1467. [Roman Popov]

* Verilator 4.014 2019-05-08

***   Add --trace-fst-thread.

****  Support '#' comments in $readmem, bug1411. [Frederick Requin]

****  Support "'dx" constants, bug1423. [Udi Finkelstein]

****  For FST tracing use LZ4 compression. [Tony Bybell]

****  Add error when use parameters without value, bug1424. [Peter Gerst]

****  Auto-extend and WIDTH warn on unsized X/Zs, bug1423. [Udi Finkelstein]

****  Fix missing VL_SHIFTL_ errors, bug1412, bug1415. [Larry Lee]

****  Fix MinGW GCC 6 printf formats, bug1413. [Sergey Kvachonok]

****  Fix test problems when missing fst2vcd, bug1417. [Todd Strader]

****  Fix GTKWave register warning, bug1421. [Pieter Kapsenberg]

****  Fix FST enums not displaying, bug1426. [Danilo Ramos]

****  Fix table compile error with multiinterfaces, bug1431. [Bogdan Vukobratovic]
   2019-08-11 15:25:21 by Thomas Klausner | Files touched by this commit (3557)
Log message:
Bump PKGREVISIONs for perl 5.30.0

Next | Query returned 12 messages, browsing 1 to 10 | Previous