./devel/p5-Class-Load, Provide a working (require Class::Name) and more

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: pkgsrc-2014Q4, Version: 0.22, Package name: p5-Class-Load-0.22, Maintainer: pkgsrc-users

require EXPR only accepts Class/Name.pm style module names, not
Class::Name. How frustrating! For that, we provide load_class
'Class::Name'.

It's often useful to test whether a module can be loaded, instead
of throwing an error when it's not available. For that, we provide
try_load_class 'Class::Name'.

Finally, sometimes we need to know whether a particular class has
been loaded. Asking %INC is an option, but that will miss inner
packages and any class for which the filename does not correspond
to the package name. For that, we provide is_class_loaded 'Class::Name'.


Master sites: (Expand)

SHA1: 4baeba783d3d32e95725657accdace488f6a5563
RMD160: 87475d960e0ff851057377072bc636637c0a67aa
Filesize: 33.378 KB

Version history: (Expand)