./cad/ghdl, Open-source simulator for the VHDL language

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: pkgsrc-2014Q1, Version: 0.29, Package name: ghdl-0.29, Maintainer: ryoon

GHDL is an open-source simulator for the VHDL language. GHDL allows
you to compile and execute your VHDL code directly in your PC.
Combined with a GUI-based wave viewer and a good VHDL text editor,
GHDL is a very powerful tool for writing, testing and simulating
your VHDL code.


Required to run:
[devel/gmp] [lang/gcc-aux] [math/mpfr]

Master sites:

SHA1: f207ab6425027176fd61b4ef440c5620e7ab6572
RMD160: c4bd5cff6dd928c6008f062cd033e215bb4b0535
Filesize: 766.005 KB

Version history: (Expand)