./cad/ghdl, Open-source simulator/compiler/analyzer for the VHDL

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: pkgsrc-2022Q2, Version: 2.0.0, Package name: ghdl-2.0.0, Maintainer: ryoon

GHDL is a shorthand for G Hardware Design Language (currently, G
has no meaning). It is a VHDL analyzer, compiler, simulator and
(experimental) synthesizer that can process (nearly) any VHDL design.
Unlike some other simulators, GHDL is a compiler: it directly
translates a VHDL file to machine code, without using an intermediary
language such as C or C++. Therefore, the compiled code should be
faster and the analysis time should be shorter than with a compiler
using an intermediary language.


Master sites:

Filesize: 6665.591 KB

Version history: (Expand)