Next | Query returned 57 messages, browsing 31 to 40 | Previous

History of commit frequency

CVS Commit History:


   2004-11-27 03:50:09 by Dan McMahill | Files touched by this commit (2) | Package updated
Log message:
update to verilog-current 20041004.

Release Notes for Icarus Verilog Snapshot 20041004

Some minor Makefile bugs have been fixed, and source file text
formatting has in some cases been normalized for release. Also,
configure scripts have been factored for a more consistent build.

Fixed continuous assignments to carry strength when needed for correct
behavior. This bug led to subtly incorrect reset behavior, but could
have caused strength modeling errors in a variety of situations.

Fixed some <= vs >= behaviors to be consistent. The results of these
comparisons, when sized values are involved, are more standard now.
   2004-10-03 02:13:34 by Todd Vierling | Files touched by this commit (908)
Log message:
Libtool fix for PR pkg/26633, and other issues.  Update libtool to 1.5.10
in the process.  (More information on tech-pkg.)

Bump PKGREVISION and BUILDLINK_DEPENDS of all packages using libtool and
installing .la files.

Bump PKGREVISION (only) of all packages depending directly on the above
via a buildlink3 include.
   2004-09-21 04:23:19 by Dan McMahill | Files touched by this commit (2) | Package updated
Log message:
update to verilog-current-20040915.  Changes in this snapshot:

The big news is that module instance arrays now work. Gate and UDP
instance arrays have worked for a while, but module instance arrays
were more tricky because of the scope arrys they create. The issues
have been dealt with, and module instance arrays are now supported.

An interesting but subtle set of bugs in the evaluation of ternary
expressions has been fixed. The problems expressed themselves when the
condition expression was constant.

Degenerate wait statements now work properly.

The @* syntax apparently missed sensitivities in l-value expressions
of assignment statements. This led to subtle bugs in carefully crafted
bits of code.

Verilog attributes are properly parsed in a few more contexts. Also,
some specify syntax cases have been fixed.

Some minor spelling and documentation errors have been fixed, along
with assorted compiler warnings.
   2004-09-02 03:55:47 by Dan McMahill | Files touched by this commit (3) | Package updated
Log message:
update to verilog-current-20040828

changes include:

Added support for the `default_nettype directine, including the
default net type of "none", which turns off implicit net declarations.

Signed /, % and >>> in nets should now work properly. Also, various
operators of all sorts applied to constants have been improved.

Ranges now work on localparams.

Added the system tasks $unsigned, $is_signed, $mti_random and
$mti-dist_uniform. See the make README.txt for a description of these
system functions. Also, flesh out the standard random number
generators to match the sequences generated by other compilers.

There is now an "sft" file that describes to the compiler the return
value of system functions. This allows user supplied system functions
to have interesting return types. See "SYSTEM FUNCTIO TABLE FILES" in
the iverilog man page. Include a sft file for the system functions,
and move the system functions over to that mechinism.

Fix the behavior of $fgets in tight fitting result buffers.

A variety of compilation environment fixes have been added. These
involve configure scripts and Makefiles.

And of course a variety of other bug fixes, and so on and so forth.
   2004-06-07 03:09:50 by Dan McMahill | Files touched by this commit (2) | Package updated
Log message:
update to verilog-current-20040606

* Release Notes for Icarus Verilog Snapshot 20040606

Ports of primitives can bind by name as well as by position. Also
support Verilog 2001 style port declarations for primitives.

System function return types can now be specified by system function
table files. System Function Table Files are described in the iverilog
man page. Also include better system function return types in VPI.

Non-blocking assign of real values to real variables now works.

Properly handle nul strings ("") as 8bit values. This is a weirdness
legacy of XL.

Fix some synthesis problems for logical OR and logical AND. Bitwise OR
and AND were fine. These fixes affected simulation as well.

Handle wait statements with all sorts of constant values. These are
sometimes weird, bug legal.

Handle Negative value reals, and a few other bugs related to real
numbers.

Change internal use of identifiers to perm_strings for better
performance.

Functions returning unsupported types now generate error
messages. Previously, they would quietly generate bad
code. Infrastructure is also added to eventually support arbitrary
function return types.

Better compile-time support for Cygwin vs mingw32.

The ipal target is removed from this source. (ipal is now an add-on
package that is compiled seperately.)
   2004-04-11 07:37:33 by Soren Jacobsen | Files touched by this commit (1)
Log message:
No longer used.
   2004-04-11 07:37:09 by Soren Jacobsen | Files touched by this commit (1)
Log message:
Bah.  Forgot to remove unnecessary includes.
   2004-04-11 07:36:23 by Soren Jacobsen | Files touched by this commit (1)
Log message:
Finish bl3ification.
   2004-03-22 01:15:07 by Dan McMahill | Files touched by this commit (2)
Log message:
add lex to the GNU_TOOLS list.  Needed for SunOS and probably some others.
   2004-03-12 23:37:12 by Dan McMahill | Files touched by this commit (2)
Log message:
bl3ify

Next | Query returned 57 messages, browsing 31 to 40 | Previous