Next | Query returned 45 messages, browsing 21 to 30 | Previous

History of commit frequency

CVS Commit History:


   2012-10-08 15:25:17 by Aleksej Saushev | Files touched by this commit (62)
Log message:
Drop PKG_DESTDIR_SUPPORT setting, "user-destdir" is default these days.
   2012-08-22 01:49:27 by John Marino | Files touched by this commit (90)
Log message:
Recursive PKGREVISION bump for tcl and tk upgrade to 8.5.12
   2011-12-07 16:13:26 by Joerg Sonnenberger | Files touched by this commit (2)
Log message:
Fix assembler syntax for byte swaps
   2011-06-19 07:29:24 by David A. Holland | Files touched by this commit (1)
Log message:
REPLACE_INTERPRETER+=WISH
   2009-06-14 19:35:57 by Joerg Sonnenberger | Files touched by this commit (52)
Log message:
Remove @dirrm entries from PLISTs
   2008-06-12 04:14:58 by Joerg Sonnenberger | Files touched by this commit (1134)
Log message:
Add DESTDIR support.
   2007-11-17 13:04:15 by Roland Illig | Files touched by this commit (28)
Log message:
Some packages need lex and yacc. Patch by Aleksey Cheusov via
pkgsrc-users.
   2006-10-12 05:11:18 by Dan McMahill | Files touched by this commit (19) | Package removed
Log message:
update to covered-0.4.7.

    * 08/30/2006

Stable release covered-0.4.7 made.  This release is mostly a bug fix release \ 
with two feature
additions.  The new feature is the global -B option was performs name \ 
obfuscation on all identifying,
design-sensitive names from all output (with the exception of CDD file output).  \ 
This option is
mostly useful as a way to share debugging information with the maintainer(s) of \ 
Covered without giving
away sensitive information.  Additionally, the -rI score option was added to \ 
allow user's to completely
skip the race condition checking phase.  This allows users to force Covered to \ 
consider all code for
coverage (if race condition checking is performed, all code considered to be \ 
potential race conditions
are automatically excluded from coverage consideration).  User documentation has \ 
been updated for these
changes.  The following lists the changes in this release.

  - Fixed bug 1535412.  Implicit event expressions (i.e., "always @*") \ 
now traverse named begin/end
    blocks correctly to search for RHS variables.
  - Fixed bugs related to segmentation faults and memory leak issues
  - Fixed hierarchical reference search to match Verilog-1995 LRM
  - Fixed bug 1538922.  If -vcd or -lxt option was specified twice, an incorrect \ 
error message was
    displayed to the user.
  - Added -rI option to allow user's to bypass the race condition checking step \ 
in the score command.
  - Fixed bug 1538920.  Handling of any-edge triggered events (i.e., \ 
"@(b)") was incorrectly handled
    by Covered.  Additionally, fixed the event trigger operator (->) to work \ 
correctly with the new
    changes.
  - Fixed bug 1541944.  Command options that require a value are now checked to \ 
make sure that a value
    exists, and an appropriate error message is output if this is not achieved.
  - Fixed bug 1542454.  Command options that must only be allowed once on a \ 
command-line are now
    checked and handled appropriately (caused a segmentation fault previously).
  - Fixed bug 1544322.  When an AND- or OR-type expression has either a left or \ 
right expression evaluate
    to 0 or 1, respectively, Covered now outputs correct coverage information \ 
when the opposite expression
    evaluates to an X or Z value, simultaneously.
  - Fixed bug 1544325.  Multi-variable expressions are now always output as such \ 
in report files.
  - Fixed bug 1544169.  Avoiding consideration of named begin/end block keywords \ 
in line coverage.
  - Fixed bug 1546059.  Covered now properly handles the removal of statement \ 
blocks that cannot be
    considered for coverage which also contain parameters from another \ 
functional unit block.
  - Fixed bug with connection of statements in a statement block.
  - Updated documentation to match new features added in this release.

    * 07/22/2006

Stable release covered-0.4.6 made.  This release contains several bug fixes that \ 
are listed below.

  - Fixed segmentation fault bug which occurs when a multi-bit select on the LHS \ 
contains any
    expression besides static values.
  - Fixed several memory leaks found with valgrind
  - Fixed bug 1520159 - arrays of instances incorrectly always started at index 0.
  - Fixed bug 1521598 having to do with reduction unary invert and logical not \ 
operators not
    calculating correctly.
  - Fixed bug in db_read when a functional unit is being merged to point to the \ 
used module.
  - Fixed assertion in link.c such that no segmentation fault occurs if a string \ 
is not found
    in a str_link list for deletion.
  - Fixed bug pertaining to embedded concatenations within function/task \ 
parameter lists.
  - Fixed bug pertaining to empty named begin-end blocks.
  - Fixed bug in the db_read function that would cause Covered to flag an \ 
internal assertion
    error if an error occurred while reading the CDD file and the global -D \ 
option was specified.
  - Fixed upwards name referencing bug (1524705).
  - Enhanced regression suite to verify that all of these bugs are properly fixed.

    * 07/08/2006

Stable release covered-0.4.5 made.  This release contains a bug fix to properly \ 
handle cases
where two or more signals are declared with a parameter in their range field \ 
(i.e., reg [FOO:0] a, b;)
This caused Covered to segfault due to attempting to free the same address \ 
twice.  Bug 1518932.
Also contains a bug fix to properly remove statement blocks that contain case, \ 
casex or casez
expressions and unbindable expressions.

    * 5/28/2006

Stable release covered-0.4.4 made.  This release contains a bug fix to proper \ 
handle hierarchical
referencing of parameter values.  This feature was technically not supported in \ 
the past but caused
an internal assertion error when this was performed.  The feature is now fully \ 
supported.

    * 4/21/2006

Stable release covered-0.4.3 made.  This release contains a bug fix to the \ 
statement connection function that
caused segmentation faults during the score command.  Also added support for big \ 
endian wires/regs.  This
information was being ignored by the parser and, consequently, was not being \ 
handled correctly by Covered's
internal simulator, leading to incorrect coverage information.  The lack of this \ 
support was also causing
an internal error in the memory allocation routine when scoring the dumpfile.

    * 4/17/2006

Stable release covered-0.4.2 made.  This release contains a bug fix that caused \ 
an assertion error in the
binding.c source file to occur.  The reason for this assertion was a syntax \ 
error in the parser that caused
problems when more than one task call was made in a statement block.  Also added \ 
support for multi-line
definitions (i.e., a '\' character used at the end of a definition line).  This \ 
was missing but was not meant
to be missing.

    * 4/4/2006

Stable release covered-0.4.1 made.  This release contains one bug fix that \ 
causes an assertion error when
compiling designs that use a concatenation operation on the left-hand-side of \ 
assignment statements.  If
you are experiencing this problem with the 0.4 release, it is recommended that \ 
you use this new release
instead.

    * 3/29/2006

Stable release covered-0.4 made.  In addition to all of the features, \ 
optimizations and bug fixes
that have gone into the development releases from the 0.3 stable release, the \ 
following features, updates
and bug fixes have been added.

  - Fixed bug with a statement connection issue that causes lines of code to be \ 
not considered for
    coverage that should have been.
  - Fixed bug in report command where combinational expressions were not being \ 
output to match the original
    Verilog code.
  - Added CDD file viewer window to GUI to allow the user to see which CDD files \ 
are currently loaded/merged.
  - Fixed bug in combinational logic verbose viewer which caused the window to \ 
resize dependent upon
    the location of the cursor (this was an annoyance)
  - Changed the output of simple combinational logic to change to unary \ 
combinational logic output if either
    the left or the right expression was a constant value (eliminates \ 
unachievable combinational logic cases
    from being output leading to more accurate coverage results).
  - Removed combinational expressions that contain only constant values from \ 
being considered for coverage.
  - Updated simple combinational logic output in reports to be as concise as \ 
possible for AND and OR type
    expressions.
  - Removed duplication of information in CDD files for race conditions.
  - Fixed bug in GUI dealing with showing race conditions
  - Fixing bug in GUI pertaining to the next/previous buttons in the \ 
combinational logic detail viewer.  Previously,
    clicking on one of these buttons would only advance you to the next \ 
uncovered line.  Now it will advance
    you to the next uncovered statement.
  - Updated development, user and GUI documentation to reflect the above changes \ 
and to bring them up-to-date
    with the rest of the tool.

Please see the ChangeLog file for all changes made from the 0.3 stable release \ 
to the 0.4 stable release.
Lots of enhancements, features, optimizations, bug fixes, performance \ 
improvements and documentation improvements
are contained in this stable release, making it very worth while for any Covered \ 
users to get their hands on
it.
   2006-08-31 19:26:42 by Roland Illig | Files touched by this commit (2)
Log message:
Renamed one more instance of "signal" to "signal_s" to fix \ 
the build on
NetBSD.
   2006-08-28 12:07:00 by Joerg Sonnenberger | Files touched by this commit (2)
Log message:
Fix a typo.

Next | Query returned 45 messages, browsing 21 to 30 | Previous