Next | Query returned 20 messages, browsing 1 to 10 | Previous

History of commit frequency

CVS Commit History:


   2010-10-17 17:46:28 by Aleksey Cheusov | Files touched by this commit (9) | Package removed
Log message:

There is no need for this package anymore. pkgsrc-dewey functions are
   provided by pkg_summary-utils package.
   2009-08-10 21:45:29 by Aleksey Cheusov | Files touched by this commit (2)
Log message:
LICENSE=public-domain

   2009-05-10 12:07:49 by Aleksey Cheusov | Files touched by this commit (1)
Log message:

NO_CHECKSUM=yes (pkglint warning)
   2009-04-09 03:25:43 by Jörg Sonnenberger | Files touched by this commit (1)
Log message:
Remove redundant NO_CHECKSUM

   2008-05-16 18:38:26 by Aleksey Cheusov | Files touched by this commit (3)
Log message:

FIXED: stupid bug in removing leading zeros seen with >3-digit numbers.
    Viva Minsk-Kiev train! :-)
++version
   2008-05-15 17:55:58 by Aleksey Cheusov | Files touched by this commit (3)
Log message:

FIXED: very serious bug in removing leading zeros
   Affected versions: 0.5.4
   2008-05-13 01:10:50 by Aleksey Cheusov | Files touched by this commit (1)
Log message:
fixed: pkglint warning

   2008-05-13 01:09:11 by Aleksey Cheusov | Files touched by this commit (5)
Log message:

Version 0.5.4

   FIX: alpha, beta etc. and letters are now case-insensitive.
   Though, case-insensitivity doesn't follow from pkg_info(1).
   It seems that they all are used as such.

   FIXED: another tricky dewey hack :-( It seems to me that `1A'
   should be equal to `1.A'. Right?

   FIX: It is not documented but it is in dewey.c: `_' should be
   treated as `.'. Right?

   FIX: Single letter can be placed not only at the end version or
   just before nb<NUM>. Now this is handled correctly.

   FIX: It is not properly documented but it seems to me that
   0001.00023.000456 1.23.456. Right?

NEWS file is created and is installed to share/doc/awk-pkgsrc-dewey
   2008-05-13 00:58:47 by Aleksey Cheusov | Files touched by this commit (1)
Log message:
another test for leading zeros

   2008-05-13 00:56:13 by Aleksey Cheusov | Files touched by this commit (2)
Log message:

   FIX: It is not properly documented but it seems to me that
   0001.00023.000456 1.23.456. Right?

   test for this case

Next | Query returned 20 messages, browsing 1 to 10 | Previous