Next | Query returned 57 messages, browsing 51 to 60 | previous

History of commit frequency

CVS Commit History:


   2002-10-22 04:52:19 by Dan McMahill | Files touched by this commit (3) | Package updated
Log message:
update to verilog-current-20021019

Release Notes for Icarus Verilog Snapshot 20021019

The synthesizer now detects asynchronous set/reset inputs to DFF
devices. The fpga and vvp code generators have been updated to support
these signals.

The vvp code generator also gained some register management code that
improves the thread register usage. This redoces code size for certain
common cases, and thus improves simulation performance.

The requirements on `ifdef and related compiler directives has been
relaxed, to correspond to more common behavior.

The parameter range support crashed if the range expressions had
parameters in them. This is fixed, and some signed-ness bugs fixed
along with it.

Rearrange some of the configure script tests to assure better
compatibility accross platforms.
   2002-10-17 03:38:44 by Dan McMahill | Files touched by this commit (3)
Log message:
fix the iverilog-vpi shell script (bash-isms)
   2002-10-14 01:01:27 by Dan McMahill | Files touched by this commit (2) | Package updated
Log message:
update to verilog-current-20020921 snapshot.  Many improvemnts in the
synthesis code and bug fixes in the simulation code since the last
packaged snapshot.
   2002-08-29 13:15:57 by Dan McMahill | Files touched by this commit (2) | Package updated
Log message:
update to verilog-current-20020828

Release Notes for Snapshot 20020828

This snapshot adds support for parameter and localparam bit
ranges. This is a IEEE1364-2001 feature, although some -1995 compilers
have supported it in the past.

Fixed a *nasty* and slippery bug with the evaluation of bit select of
nets. (Bit select of variables was unaffected.) The symptoms did not
clearly point to the problem, so bugs related to it were often mis-
reported.

Gate delays were lost when constants were propagated to their
inputs. This is fixed for the known broken cases. Also, mux output
delays have been fixed. Also, release statements that apply to elided
nets are turned into no-ops.

The r-values of non-blocking assignments are now precalculated at
compile time, if possible, as is done with blocking assignments. This
speeds up constant propagation, and is more thorough.

Also optimize subtraction of small constants from vectors, with the
new %subi instruction in vvp. This saves some in code size and thread
footprint.

Handling of x in r-value bit selects and memory word selects did the
wrong thing. Now they do the right thing. Also, x in the selector of
?: ternary operators does the right (and complicated) thing now. In
the process, a fork-join code generator bug was fixed.

Several bugs with time formatting have been fixed.

Temporaries in sequential blocks are detected by the synthesizer, and
converted into wires when needed. This expands support for
combinational logic synthesis.
   2002-08-24 06:36:45 by Dan McMahill | Files touched by this commit (3) | Package updated
Log message:
update to verilog-current-20020817.  Many many changes and bug fixes
since the last packaged snapshot.  Better language coverage, better
performance, improved synthesis, fixed bugs.  Too much to list here.
   2002-05-07 02:11:21 by Dan McMahill | Files touched by this commit (4) | Package updated
Log message:
update to verilog-current-20020505

many improvements and bug fixes since the last packaged snapshot including:

-added the $sizeof system function as a builtin
-In VPI, the simulator event callbacks now work
-Concatenation expressions in parameters were broken are broken
-added the vpiModule iterator to VPI scope handles
   2002-03-28 04:07:30 by Dan McMahill | Files touched by this commit (3) | Package updated
Log message:
update to verilog-current-20020317

Release Notes for snapshot 20020317

The first difference in this snapshot from the 0.6 release is that vvm
is no longer compiled by default. If you want to compile vvm, you must
enable it at configure time (--enable-vvm) and rebuild from
scratch. Eventually, vvm will disappear from the release altogether.

The next major difference is new support for user defined
functions. It is new support, so it is bound to be buggy, but it
should be somewhat complete. The major problem has been solved, so all
that remains are bugs around the edges.

The vvp run-time scheduler has been changed slightly. The run time
behavior is getting increasingly precise and picky, as larger designs
are thrown at the compiler. The change introduced in this snapshot
fixes logic gates to not propagate zero-time pulses, and thus fixes
some weird bugs in large designs.

I've also added initial support for the Verilog 200x pragma comment,
which are (* *) pairs. For now, the compiler ignores them as
comments. This is what a compiler is supposed to do with anything that
is not specifically recognized.

Also, Tony (Anthony Bybell) has added LXT dump support. The LXT output
file is a waveform output format that is much more compact then VCD.
The gtkwave waveform viewer supports the LXT format, and should
operate a bit faster when viewing LXT files. For now, there are
separate system tasks for managing LXT output ($lxt_dumpvars, etc) but
eventually the dump format will be selectable by environment variable
or command line switch.

This snapshot also includes various random bug fixes and improved
error messages for incorrect code.

Next | Query returned 57 messages, browsing 51 to 60 | previous