./cad/ghdl, Open-source simulator for the VHDL language

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: pkgsrc-2016Q1, Version: 0.32rc1, Package name: ghdl-0.32rc1, Maintainer: ryoon

GHDL is an open-source simulator for the VHDL language. GHDL allows
you to compile and execute your VHDL code directly in your PC.
Combined with a GUI-based wave viewer and a good VHDL text editor,
GHDL is a very powerful tool for writing, testing and simulating
your VHDL code.


Required to run:
[lang/gcc-aux] [math/mpfr] [devel/gmp]

Master sites: (Expand)

SHA1: cbf25b49b542aaf26ca40fc5f3a4f698561f0ffa
RMD160: 708b680f9e11fa313f820cb08b2b4f898800c930
Filesize: 950.65 KB

Version history: (Expand)