./devel/yosys, Yosys Open SYnthesis Suite

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: CURRENT, Version: 0.38nb4, Package name: yosys-0.38nb4, Maintainer: thorpej

The Yosys Open SYnthesis Suite is a framework for RTL synthesis tools. It
currently has extensive Verilog-2005 support and provides a basic set of
synthesis algorithms for various application domains.


Master sites:

Filesize: 2645.72 KB

Version history: (Expand)


CVS history: (Expand)


   2024-04-15 19:12:06 by Thomas Klausner | Files touched by this commit (1)
Log message:
yosys: only uses Xdot

use DEPENDS on graphviz instead of its bl3.mk

Bump PKGREVISION.
   2024-04-15 12:51:34 by Michael Baeuerle | Files touched by this commit (4)
Log message:
*: recursive bump for graphviz 10.0.1
   2024-03-27 23:52:32 by Thomas Klausner | Files touched by this commit (3)
Log message:
yosys: fix interpreter in yosys-config script

Bump PKGREVISION.
   2024-03-03 00:08:00 by Jason R Thorpe | Files touched by this commit (6)
Log message:
KERN_PROC_PATHNAME is slightly different on NetBSD vs FreeBSD; handle this
difference correctly.  Bump package revision to 1.
   2024-03-02 03:03:38 by Jason R Thorpe | Files touched by this commit (6)
Log message:
Add a package for yosys:

The Yosys Open SYnthesis Suite is a framework for RTL synthesis tools. It
currently has extensive Verilog-2005 support and provides a basic set of
synthesis algorithms for various application domains.

Together with nextpnr-ice40 and icestorm, this forms a toolchain that can
be used to synthesize and program designs for Lattice iCE40-family FPGAs.