./wip/nextpnr, Portable FPGA place and route tool

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: CURRENT, Version: 0.4, Package name: py310-nextpnr-0.4, Maintainer: lloyd

nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place
and route tool.

Currently nextpnr supports:

* Lattice iCE40 devices supported by Project IceStorm
* Lattice ECP5 devices supported by Project Trellis
* Lattice Nexus devices supported by Project Oxide
* Gowin LittleBee devices supported by Project Apicula
* (experimental) Cyclone V devices supported by Mistral
* (experimental) Lattice MachXO2 devices supported by Project Trellis
* (experimental) a "generic" back-end for user-defined architectures

There is some work in progress towards support for Xilinx devices but
it is not upstream and not intended for end users at the present
time. We hope to see more FPGA families supported in the future. We
would love your help in developing this awesome new project!



Package options: ice40

Master sites:

Filesize: 4355.878 KB

Version history: (Expand)