./wip/prjtrellis, Documenting the Lattice ECP5 bit-stream format

[ CVSweb ] [ Homepage ] [ RSS ] [ Required by ] [ Add to tracker ]


Branch: CURRENT, Version: 1.2.1, Package name: py310-prjtrellis-1.2.1, Maintainer: lloyd

Project Trellis enables a fully open-source flow for ECP5 FPGAs using
Yosys for Verilog synthesis and nextpnr for place and route. Project
Trellis itself provides the device database and tools for bitstream
creation.


Master sites:


Version history: (Expand)